Open Access Open Access  Restricted Access Subscription or Fee Access

A Low Power High Speed Design using Clustering based Flip Flop Merging

I. Divona Priscilla, R. Arun Prasath

Abstract


Lower in power exploitation could be a nice challenge featured up by integrated circuit industry. the main attribute is that the clock power in circuits of VLSI. In today’s VLSI design scenario, power utilization by clocking takes up an important role particularly in style that uses deeply scaled CMOS technology. Practiced power utilization tends to be a vital constraint in trendy IC style. The beneath plan of multi bit flip flop is to reduce the inverter number by sharing among flip flop. Indulging multi bit flip flop in synchronous style is turning into a considerable technique for reducing clock power. The single bit flip flop cells uses a mutual range of inverter that possess high driving capability to drive over clock signal. Grouping of such cells to make multi bit flip flop will spare drive strength, dynamic power and space of common inverter wherever there's no compromise among the mandatory constraint among area and power. In this paper, a Hausdorff clustering algorithm is used to get nearest cluster for merging flip flops. Initially D latch is taken and distance is computed for each flip flops. Then clustering is done using the proposed algorithm. After that combination table is constructed. Finally merging is done. The multi bit technique is introduced in FIR circuit to minimize power moreover as area. In line with the experimental results, our algorithm considerably reduces clock power by 19.8% and it is found that total gate count is reduced from 176 to 132. The delay is curtailed upto 1.9ns which consequently increase the speed.

Keywords


Clock Power, Hausdorff, Manhattan Distance, Merging, Multi Bit Flip Flop

Full Text:

PDF

References


L.-T Wang, Y.-W. Chang, and K.-T. Chang , Eds., Electronic Design Automation: Synthesis, Verification, and Test. Burlington, MA:Elsevier/Morgan Kaufmann,2009

P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L.Allmon , “High-performance microprocessor design,” IEEE J. Solid-State Circuits, vol.33, no.5, pp.676–686,1998.

Friedman, G, “Clock Distribution Design in VLSI Circuits an Overview”, Proceedings of the IEEE ISCAS, May 1994, pp.1475-1478.

Duarte D., et al, “Formulation and Validation of an Energy Dissipation Model for the Clock Generation Circuitry and Distribution Networks,” Proceedings of the 14th International Conference on VLSI Design, Jan 2001,pp.248-253.

D.Liu and C.Svenson,, “Power consumption estimation in CMOS VLSI chips”, IEEE J. Solid-State Circuits, vol. 29, no.6 , pp.663-670, June 1994 .

K.Wang and M.Marek-Sadowska, “Buffer sizing for clock power minimization subject to general skew constraints,” Proceedings of ACM/IEEE Design Automation Conference , 2004, pp.159-164.

Y. Cheon, P.-H. Ho, A. B. Kahng, S. Reda, and Q. Wang, “Power-aware placement,” in Proc. Design Autom. Conf., vol. 13,no.14, 2005,pp.795–800.

Y.Lu,C. N. Sze, X. Hong, Q. Zhou, Y. Cai, L.Huang, and J. Hu, , “Navigating registers in placement for clock network minimization,” Proceedings of ACM/IEEE Design Automation Conference, 2005, pp.176-181.

R.Goering “Low power IC design techniques may perturb the entire flow,” EE times,2007.

Q. Wu, M. Pedram, and X. Wu, “Clock-gating and its application to low power design of sequential circuits,” IEEE Trans. Circuits Syst. I, vol. 47, no.3, pp.415–420, Mar.2000.

H. Mahmoodi, V. Tirumalashetty, M. Cooke, and K. Roy, “Ultralowpower clocking scheme using energy recovery and clock gating,” IEEE Trans. Very Large Scale Integr. Syst., vol. 17, no.1, pp.33–44, Jan.2009.

W. Hou, D. Liu, and P.-H. Ho, “Automatic register banking for low power clock trees,” in Proc. Quality Electron. Design, San Jose, CA, vol.13, no.14, 2009,pp.647–652.

I. H. R. Jiang, C. L. Chang, Y. M. Yang, Y. W. Tsai and L. S. F. Chen , “ INTEGRA: Fast multi-bit flip- flop clustering for clock power saving based on interval graphs,” International Symposium on Physical Design, pp.115-121,2011.

S. H. Wang, Y. Y. Liang, T. Y. Kuo and W. K. Mak , “Power-driven flip-flop merging and relocation,” International Symposium on Physical Design, pp.107-114, Mar.2011.

J. T. Yan and Z. W. Chen , “Construction of constrained multi-bit flip-flops for clock power reduction,” International Conference on Green Circuits and Systems, pp.675-678,2010.

Y.-T. Chang, C.-C.Hsu, P.-H.Lin, Y.-W.Tsai, and S.-F. Chen, “Post-placement power optimization with multi-bit flip-flops,” in Proc.IEEE/ACM Comput.-Aided Design Int. Conf., San Jose, CA , vol.21, no.4 , Dec.2011, pp.218–223.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.