Open Access Open Access  Restricted Access Subscription or Fee Access

Design of SISO Shift Register by Using Pulse Triggered Flip-Flop for Effective Area and Power Minimization at 32nm Technology

Sandeep Kumar

Abstract


Power dissipation and area conservation is considered to be a vital issue while designing synchronous CMOS circuit. In this paper three types of four bit Serial In Serial Out (SISO) shift register is designed by using Pulse-Triggered Flip-Flop (P-FF).  First design of shift register is simple and designed by using only P-FF.  In Second type of shift register, clock gating technique is used to reduce the dynamic power dissipation and third type of shift register, common pulse generator is used which is efficient in terms of power as well as area. These shift registers are compared in terms of power and area in order to find better design. Design is implemented using CMOS 32nm BSIM4 Technology at schematic level with VDD = 0.9 V in Tanner EDA.


Keywords


SISO Shift Register, Pulse Triggered Flip-Flop, Clock Gating, Dynamic Power, 32nm Bulk CMOS, Low Power Sequential Circuit.

Full Text:

PDF

References


Kaushik Roy, Sharat Prasad, “Low-Power CMOS VLSI Circuit Design” Wiley, 2000

Chandrakasan, A. P., Sheng S., Brodersen,R.W., “Low Power CMOS Digital Design” IEEE Journal of Solid-State Circuits, vpl.27, no.4, pp. 473-483, Apr.1992.

Kang S. M., Leblebici Y., “CMOS Digital Integrated Circuits Analysis and Design”, 3rd ed., McGraw Hill, California, 2003.

MahendraPratap Dev, Deepak Baghel, Bishwajeet Pandey, Manisha Pattanaik and Anupam Shukla” Clock Gated Low Power Sequential Circuit Design” Proceedings of 2013 IEEE Conference on Information and Communication Technologies (ICT 2013), pp. 440-444, April 2013.

[5] Tiwari, V., Singh, D. Rajgopal, S., Mehta, G., Patel, R. and Baez,F., “Reducing Power in High-performance Microprocessors” IEEE Proc. Design Automation Conference ., pp 732-737, June 1998.

Benini, L., De Micheli G., Macri, E., Poncino, M., and Scarci, R., “Symbolic Synthesis of Clock Gating Logic for Power Optimization of Synchronous Controllers”, IEEE ACM Trans. Des. Autom. Electron conference, pp 351-375, March 1999.

K.V.S.S. Aditya, Bharath Balaji Kotaru and B. Balaji Naik “Design of Low Power Shift Register Using Activity Driven Optimized Clock Gating and Run-Time Power Gating” IEEE 2014 International Conference on Green Computing Communication and Electrical Engineering (ICGCCEE), pp. 1-7, M arch 2014.

Byung-Do Yang “Low-PowerandArea-EfficientShiftRegisterUsing Pulsed Latches” IEEE Transaction on circuit and systems, regular papers, vol.62, No.6, June 2015.

Xunwei Wu and MassoudPedram” Low Power Sequential Circuit Design by Using Priority Encoding and Clock Gating” IEEE, Low Power Electronics and Design pp. 143-148, June 2013.

Strollo, A.G.M., and D. De Caro “New low power flip-flop with clock gating on master and slave latches” Electronics Letters, vol. 36, no. 4, pp. 294-295, Feb 2000.

Q. Wu, “Clock-gating and its application to low power design of sequential circuits” IEEE Transactions on Circuits and Systems I, vol.47, no. 3, pp. 415-420, march 2000.

Nandita Srinivasana, Navamitha S. Prakasha, Shalakha .Da, Sivaranjani Da, Swetha Sri Lakshmi .Ga, and B. Bala Tripura Sundaria “Power Reduction by Clock Gating Technique” Elsevier, procedia technology, vol. 21, pp.631-635 ,2015.

Strollo, A.G.M., Napoli E. and De Caro, D., “New Clock-Gating Techniques for Low Power Flip-flops” Proceedings of the 2000 International Symposium on Low Power Electronics and Design, pp.114-119, July 2000.

Shien-Chun Luo, Ching-Ji Huang, and Yuan-Hua Chu, “An Adaptive Pulse-Triggered Flip-Flop for a High-Speed and Voltage Scalable Standard Cell Library”, IEEE Transactions on Circuits and Systems II: express briefs, vol. 60, no. 10, pages 677-681, 2013.

Jin-Fa Lin “Low-Power Pulse-Triggered Flip-Flop Design Based on a Signal Feed Through Scheme” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no.1,pp. 181-185, Jan 2014.

J. Tschanz, S. Narendra, Z. Chen, S. Borkar, M. Sachdev, and V. De, “Comparative delay and energy of single edge-triggered and dual edge triggered pulsed flip-flops for high-performance microprocessors,” Low Power Electronics and Design, International Symposium on, pp. 207–212, 2001.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.