Open Access Open Access  Restricted Access Subscription or Fee Access

A Combined Technique for Static Power Reduction CMOS VLSI Circuits

M. Janaki Rani, Dr.S. Malarkkan

Abstract


As the VLSI technology and supply/threshold voltage keep on reducing, leakage power has turn out to be highly important in the power wastage of present CMOS circuits. In order to reduce the leakage current is evolving as very significant in low-power design. This provides great opportunity for the researchers to look for better technique to reduce the leakage power. Several methods thus have been provided by the researchers to decrease the leakage power consumption. Input Vector Control (IVC) method is depends on the inspection that the leakage power in a CMOS logic gate is based on the gate input state and a good input vector has a capability to decrease the leakage current when the circuit is in the sleep mode. This technique is found to be better technique for leakage power reduction. When paths in circuits happen to deeper, the input vector control techniques turn out to be unsuccessful since gates with deep levels are difficult to be affected by the input vector. One way to handle this problem is the gate replacement method. Gate replacement technique is nothing but replacing a gate with another gate with sleep mode attached in it. This paper combines IVC and gate replacement technique for better reduction in leakage current. The simulation result shows that the proposed technique resulted in better reduction in leakage current when compared to conventional techniques.

Keywords


Leakage Current, Input Vector Control (IVC), Gate Replacement, Worst Leakage State (WLS)

Full Text:

PDF

References


Abdollahi, F. Fallah, and M. Pedram, “Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 2, pp. 140–154, Feb. 2004.

A. Ferre and J. Figueras, “Leakage Power Bounds in CMOS Digital Technologies,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 21, no. 6, pp. 731–738, Jun. 2002.

X. Chang, D. Fan, Y. Han, and Z. Zhang, “Soc Leakage Power Reduction Algorithm by Input Vector Control,” in Proc. Int. Symp. Syst.-on-Chip, Nov. 2005, pp. 86–89.

L. Yuan and G. Qu, “Enhanced Leakage Reduction Technique by Gate Replacement,” in Proc. 42nd Des. Autom. Conf., Jun. 2005, pp. 47–50.

Y. Wang, X. Chen, W. Wang, Y. Cao, Y. Xie, and H. Yang, “Gate Replacement Techniques for Simultaneous Leakage and Aging Optimization,” in Proc. DATE, Apr. 2009, pp. 328–333.

T. C. Huang, and K. j. Lee, “Reduction of Power Consumption in Scan-Based Circuits during Test Application by an Input Control Technique”, IEEE Transaction on Computer-Aided Design, Vol. 20, No. 7, July 2001, pp. 911-917.

K. Roy, S. Mukhopadhyay, and H. Mahmoodi Meymand, “Leakage Current Mechanisms and Leakage Reduction Technique in Deep-Submicrometer CMOS Circuits, ” Proceeding of the IEEE, Vol. 91, No. 2, pp. 305-327, Feb. 2003.

J. P. Halter and F. N. Najm, “A Gate-Level Leakage Power Reduction Method for Ultra-Low-Power CMOS circuits,” IEEE Custom Integrated Circuits Conference, 1997.

M. C. Johnson, D. Somasekhar, and K. Roy, “Models and Algorithms for Bounds on Leakage in CMOS Circuits, ” IEEE Transactions on CAD of Integrated Circuits and Systems, Vol. 18, No. 6, June 1999, pp. 714-725.

K. Chopra and S. B. K. Vrudhula, “Implicit Pseudo-Boolean Enumeration Algorithms for Input Vector Control,” in Proc. DAC, 2004, pp. 767–772.

R. M. Rao, F. Liu, J. L. Burns, and R. B. Brown, “A Heuristic to Determine Low Leakage Sleep State Vectors for CMOS Combinational Circuits,” in Proc. ICCAD, 2003, pp. 689–692.

Y. Ye, S. Borkar, and V. De, “A New Technique for Standby Leakage Reduction in High-Performance Circuits”, In Symp. VLSI Circuits, pages 40-41, 1998.

F. Gao and J.P. Hayes, “Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction”, In ICCAD, pages 527 - 532, 2004.

F. Fallah and M. Pedram, “Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits,” IEICE Transactions on Electronics, vol. E88–C, no. 4, 2005, pp. 509–519.

K. K. Kim, Y. B. Kim, M. Choi, and N. Park, “Leakage Minimization Technique for Nanoscale CMOS VLSI,” IEEE Design and Test of Computers, vol. 24, no. 4, Aug. 2007, pp. 322-330.

F. Hamzaoglu and M. R. Stan, “Circuit-Level Techniques to Control Gate Leakage for Sub-100nm CMOS,” Int. Symp on Low Power Electronics and Design, 2002, pp. 60 – 63.

Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha and Zainalabedin Navabi, "Simultaneous Reduction of Dynamic and Static Power in Scan Structures", Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, 2005.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.