Open Access Open Access  Restricted Access Subscription or Fee Access

A Review on Leakage Power on Fin-FET Technology

Pratibha Singh Gour, Uday Panwar

Abstract


Power consumption reduction in CMOS circuits in considered as one of the most important constrain. As it not only consumes more power, reduced battery life, excessive heating, but also limits the number of transistors that can be integrated on a chip. A number of power reduction and optimization techniques for the circuits involve bulk CMOS have been analyzed in many articles. A major breakthrough in this field was achieved in 2001 in the form of FinFET. The FinFET emerges as a major solution for increasing leakage power loss in CMOS circuits designed using technologies 32nm or beyond. In this paper, I review the available power reduction optimization techniques for FinFET based designs.

Keywords


FinFET, Power Reduction in FinFET, FinFET Optimization.

Full Text:

PDF

References


S. Y. Wu et al., “An enhanced 16nm CMOS technology featuring 2nd generation FinFET transistors and advanced Cu/low-k interconnect for low power and high performance applications,” in 2014 IEEE International Electron Devices Meeting, 2014, pp. 3.1.1-3.1.4.

H. S. P. Wong, D. J. Frank, and P. M. Solomon, “Device design considerations for double-gate, ground-plane, and single-gated ultra-thin SOI MOSFET’s at the 25 nm channel length generation,” in International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217), 1998, pp. 407–410.

K. Roy, H. Mahmoodi, S. Mukhopadhyay, H. Ananthan, A. Bansal, and T. Cakici, “Double-gate SOI devices for low-power and high-performance applications,” in 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID’06), 2006, pp. 8 pp.-.

M. Rostami and K. Mohanram, “Dual-th Independent-Gate FinFETs for Low Power Logic Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 3, pp. 337–349, Mar. 2011.

C. Y. Lee and N. K. Jha, “FinFET-based dynamic power management of on-chip interconnection networks through adaptive back-gate biasing,” in 2009 IEEE International Conference on Computer Design, 2009, pp. 350–357.

N. Horiguchi et al., “FinFETs and Their Futures,” in Semiconductor-On-Insulator Materials for Nanoelectronics Applications, Springer, Berlin, Heidelberg, 2011, pp. 141–153.

M. Agostinelli, M. Alioto, D. Esseni, and L. Selmi, “Leakage #x2013;Delay Tradeoff in FinFET Logic Circuits: A Comparative Analysis With Bulk Technology,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 2, pp. 232–245, Feb. 2010.

J. Li, Q. Xie, Y. Wang, S. Nazarian, and M. Pedram, “Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique,” in 2015 Design, Automation Test in Europe Conference Exhibition (DATE), 2015, pp. 1579–1582.

N. Liao et al., “Low power adiabatic logic based on FinFETs,” Sci. China Inf. Sci., vol. 57, no. 2, pp. 1–13, Feb. 2014.

P. Mishra, A. Muttreja, and N. K. Jha, “Low-power FinFET Circuit Synthesis Using Multiple Supply and Threshold Voltages,” J. Emerg. Technol. Comput. Syst., vol. 5, no. 2, pp. 7:1–7:23, Jul. 2009.

A. Srivastava and D. Sylvester, “Minimizing total power by simultaneous Vdd/Vth assignment,” in Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003., 2003, pp. 400–403.

T. Sairam, W. Zhao, and Y. Cao, “Optimizing Finfet Technology for High-speed and Low-power Design,” in Proceedings of the 17th ACM Great Lakes Symposium on VLSI, New York, NY, USA, 2007, pp. 73–77.

Lourts Deepak, A., and Likhitha Dhulipalla, “Performance comparison of CMOS and FINFET based SRAM for 22nm Technology.”

J. Ouyang and Yuanxie, “Power optimization for FinFET-based circuits using genetic algorithms,” in 2008 IEEE International SOC Conference, 2008, pp. 211–214.

S. A. Tawfik and V. Kursun, “Robust FinFET memory circuits with p-type data access transistors for higher integration density and reduced leakage power,” Journal of Low Power Electronics, vol. 5, no. 4, pp. 497–508, 2009.

S. A. Tawfik and V. Kursun, “Work-function engineering for reduced power and higher integration density: An alternative to sizing for stability in FinFET memory circuits,” in 2008 IEEE International Symposium on Circuits and Systems, 2008, pp. 788–791.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.