Open Access Open Access  Restricted Access Subscription or Fee Access

Design of Low Power Coarse Grained Reconfigurable Architecture by Reusable Context Pipelining

C. Paramasivam, A. Punithavathi

Abstract


This paper presents Architecture design of a low-power Coarse Grained Reconfigurable Architecture (CGRAs). CGRAs require many Processing Elements (PEs) and configuration cache unit for the reconfiguration of its PE array. The power overhead in configuration cache of CGRA is explicit overhead compared to other types of Intellectual Property cores. In this paper we propose a Reusable Context Pipelining (RCP) to reduce the power overhead in Configuration Cache. The Reusable Context Pipelining architecture reduces the power overhead by using the characteristics of loop pipelining caused by reconfiguration in configuration cache. The power of RAA is compared with normal pipelining architecture structure. The Reconfigurable Array Architecture is designed using Synopsys EDA tool 120nm technology.

Keywords


Coarse Grained Reconfigurable Architecture, Configuration Cache, Loop Pipelining, Reusable Context Pipelining (RCP).

Full Text:

PDF

References


Vinoo Srinivasan, Sriram Govindarajan, and Ranga Vemuri,”Fine-Grained and Coarse-Grained Behavioral Partitioning with Effective Utilization of Memory and Design Space Exploration for Multi-FPGA Architectures” IEEE Transactions on very large scale integration (VLSI) systems, volume. 9, February 2001

R. Hartenstein, “A decade of reconfigurable computing: A visionary retrospective,” in Proc. Design Automation. Test Eur. Conference., March. 2001

B. Mei, S. Vernalde, D. Verkest, and R. Lauwereins , “Design methodology for a tightly coupled VLIW/reconfigurable matrix architecture: A case study,” in Proc. Design Automation. Test Eur. Conf., Mar. 2004

N. Bansal, S. Gupta, N. D. Dutt, and A. Nicolau, “Analysis of the performance of coarse-grain re-configurable architectures with different processing element configurations,” in Proc. Workshop Appl. Specific Process., Dec. 2003

A. Lambrechts, P. Raghavan, and M. Jayapala, “Energy-aware interconnect- exploration of coarse-grained re-configurable processors,” presented at the Workshop Appl. Specific Process., New York, Sep.2005

H. Zhang, M. Wan, V. George, and J. Rabaey, “Interconnect architecture exploration for low-energy reconfigurable single-chip DSPs,” presented at the VLSI, Washington, DC, Apr. 1999.

J. Lee, K. Choi, and N. D. Dutt, “Mapping loops on coarse-grained reconfigurable architectures using memory operation sharing,” Center for Embedded Computer Systems (CECS), Univ. California Irvine, Tech.Rep., 2002

M. Ahn, J. W. Yoon, Y. Paek, Y. Kim, M. Kiemb, and K. Choi, “Aspatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures,” in Proc. Des. Autom. Test Eur. Conf., Mar. 2006,pp. 363–368

H. Singh, M.-H. Lee, G. Lu, F. J. Kurdahi, N. Bagherzadeh, and E.M. C. Filho, “MorphoSys: An integrated reconfigurable system fordata-parallel and computation-intensive applications,” IEEE Transactions on.Computer., vol. 49, no. 5, , May 2000, pp. 465–481

Yoonjin Kim, Ilhyun Park, and Kiyoung Choi, ” Low Power Reconfiguration Technique for Coarse-Grained Reconfigurable Architecture”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, May 2009Yoonjin Kim and Rabi N. Mahapatra,” Dynamic Context Compression for Low- Power Coarse-Grained Reconfigurable Architecture”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 1, January 2010

Yoonjin Kim and Rabi N. Mahapatra,” Dynamic Context Compression for Low- Power Coarse-Grained Reconfigurable Architecture”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 1, January 2010.

Jason H. Anderson, Student Member, IEEE, and Farid N. Najm, Fellow, IEEE” Active Leakage Power Optimization for FPGAs” IEEE Transactions on computer-aided design of integrated circuits and systems, vol. 25, March 2006

Maryam Ashouei, Abhijit Chatterjee, and Adit D. Singh” Post-Manufacture Tuning for Nano-CMOS Yield Recovery Using Reconfigurable Logic” IEEE Transactions On Very Large Scale Integration (VLSI) Systems, vol. 18, April 2010

Juanjo Noguera and Rosa M. Badia” System-Level Power-Performance Tradeoffs for Reconfigurable Computing” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, July 2006

Rama Sangireddy, Huesung Kim, and Arun K. Somani,” Low-Power High-Performance Reconfigurable Computing Cache Architectures” IEEE Transactions on Computers, vol.53, October 2004

Dawei Wang, Sikun Li and Yong Dou” Loop Kernel Pipelining Mapping onto Coarse-Grained Reconfigurable Architecture for Data-Intensive Applications” Journal Of Software, vol. 4, February 2009

Gwo Giun Lee & Ming-Jiun Wang & Bo-Han Chen & JiunFu Chen & Ping-Keng Jao & Ching Jui Hsiao & Ling-Fei Wei,” Reconfigurable architecture for Deinterlacer based on Algorithm/architecture co-design”, Journal of Sign Processing System ,vol. 19, April 2009.

Nikhil Bansal“Analysis of the Performance of Coarse-Grain Reconfigurable Architectures with Different Processing Element Configurations”, Center for Embedded Computer Systems School of Information and Computer Science University of California at Irvine, 2003

Yoonjin Kim “Power-Conscious Configuration Cache Structure and Code Mapping for Coarse-Grained Reconfigurable Architecture”, Software Optimization & Restructuring Laboratory School of EECS, Seoul National University, Seoul, South Korea.2006

Synopsys Corp: http://www.synopsys.com.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.