Open Access Open Access  Restricted Access Subscription or Fee Access

Power Reduction and Power Management in At- Speed Scan Based Testing Applied to SOC

M.P. Bhagya Lakshmi

Abstract


The focal goal of this paper is to shrink power consumption for the duration of at speed scan based testing. In scan-based tests, power consumptions in both shift and capture phase may be drastically privileged than that in regular approach, which threaten circuits’ trustworthiness through manufacturing test. High power consumed during shift and capture phases upshot in structural smash up to silicon or flawed data transmit during manufacturing test. Prior X filling techniques diminish either shift power or capture power however not together. Work of fiction Proposed X filling technique that can dwindle both shift- and capture-power for the period of speed scan based testing. Further still more reduce power consumption by adding dynamic voltage scaling method with X-filling method.

Keywords


At-Speed Scan-Based Testing, Low-Power Testing, Dynamic voltage scaling, X-Filling Technique

Full Text:

PDF

References


P. Girard, X. Wen, and N. A. Touba, ―Low-power testing,‖ in System-on-Chip Test Architectures: Nanometer Design for Testability, L.-T. Wang, C.E. Stroud, and N.A. Touba, Eds. San Francisco, CA: Morgan Kaufmann, 2007, ch. 7.

P. Girard, ―Survey of low-power testing of VLSI circuits,‖ IEEE Des. Test Comput., vol. 19, no. 3, pp. 80–90, May-Jun. 2002.

N. Z. Basturkmen, S. M. Reddy, and I. Pomeranz, ―A low power pseudo-random BIST technique,‖ in Proc. Int. Conf. Comput. Des. (ICCD), 2002, pp. 468–473.

R. Sankaralingam, B. Pouya, and N. A. Touba, ―Reducing power dissipation during test using scan chain disable,‖ in Proc. IEEE VLSI Test Symp. (VTS), 2001, pp. 319–324.

Q. Xu, D. Hu, and D. Xiang, ―Pattern-directed circuit virtual partitioning for test power reduction,‖ in Proc. IEEE Int. Test Conf. (ITC), 2007, p. 25.2.

K. M. Butler, J. Saxena, A. Jain, T. Fryars, J. Lewis, and G. Hetherington, ―Minimizing power consumption in scan testing: Pattern generation and DFT techniques,‖ in Proc. IEEE Int. Test Conf. (ITC), Oct. 2004, pp. 355–364.

X. Wen, Y. Yamashita, S. Kajihara, L.-T. Wang, K. K. Saluja, and K.Kinoshita, ―On low-capture-power test generation for scan testing,‖ in Proc. IEEE VLSI Test Symp. (VTS), May 2005, pp. 265–270.

X. Wen, K. Miyase, S. Kajihara, T. Suzuki, Y. Yamato, P. Girard, Y. Ohsumi, and L. T. Wang, ―A novel scheme to reduce power supply noise for high-quality at-speed scan testing,‖ in Proc. IEEE Int. Test Conf. (ITC), 2007, p. 25.1.

S. Remersaro, X. Lin, Z. Zhang, S. Reddy, I. Pomeranz, and J. Rajski, ―Preferred fill: A scalable method to reduce capture vation for shift- and capture- power reduction,‖ IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 7, pp. 1142–1153, Oct. 2004.

P. M. Rosinger, B.M. Al-Hashimi, and N. Nicolici, ―Scan architecture with mutually exclusive scan segment activation for shift- and capture- power reduction,‖ IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 7, pp. 1142–1153, Oct. 2004.

S. Remersaro, X. Lin, S. M. Reddy, I. Pomeranz, and J. Rajski, ―Low shift and capture power scan tests,‖ in Proc. Int. Conf. VLSI Des., 2007, pp. 793–798.

V. Iyengar and K. Chakrabarty, ―Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip,‖ in Proc. IEEE VLSI Test Symp. (VTS), Marina del Rey, CA, May 2001, pp. 368–374.

Dabholkar, S. Chakravarty, I. Pomeranz, and S. M. Reddy, ―Techniques for minimizing power dissipation in scan and combinational circuits during test application,‖ IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 17, no. 12, pp. 1325–1333, Dec. 1998.

S. Wang and S. K. Gupta, ―ATPG for heat dissipation minimization during test application,‖ IEEE Trans. Comput., vol. 47, no. 2, pp. 256–262, Feb. 1998.

T. C. Huang and K. J. Lee, ―An input control technique for power reduction in scan circuits during test application,‖ in Proc. IEEE Asian Test Symp. (ATS), 1999, pp. 315–320.

R. Sankaralingam and N. A. Touba, ―Controlling peak power during scan testing,‖ in Proc. IEEE VLSI Test Symp. (VTS), 2002, pp. 153–159.

W. Li, S. M. Reddy, and I. Pomeranz, ―On test generation for transition faults with minimized peak power dissipation,‖ in Proc. ACM/IEEE Des. Autom. Conf. (DAC), 2004, pp. 504–509. Chandra and K. Chakrabarty, ―Test data compression for system-on-a-chip using Golomb codes,‖ in Proc. IEEE VLSI Test Symp. (VTS), 2000, pp. 113–120.

J.-L. Yang and Q. Xu, ―State-sensitive X-filling scheme for scan capture power reduction,‖ IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, no. 7, pp. 1338–1343, Jul. 2008.

J. Li, X. Liu, Y. Zhang, Y. Hu, X. Li, and Q. Xu, ―On capture poweraware test data compression for scan-based testing,‖ in Proc. Int. Conf.

Comput.-Aided Des. (ICCAD), 2008, pp. 67–72.

J. Li, Q. Xu, Y. Hu, and X. Li, ―iFill: An impact-oriented X-filling method for shift- and capture-power reduction in at-speed scan-based testing,‖ in Proc. Des., Autom., Test Eur. (DATE), 2008, pp. 1184–1189.

R. M. Chou, K. K. Saluja, and V. D. Agrawal, ―Scheduling tests for VLSI systems under power constraints,‖ IEEE Trans. Very Large Scale

Integr. (VLSI) Syst., vol. 5, no. 2, pp. 175–184, Jun. 1997.

K. Miyase and S. Kajihara, ―XID: Don’t care identification of test patterns for combinational circuits,‖ IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 2, pp. 321–326, Feb. 2004.

R. Sankaralingam, R. R. Oruganti, and N. A. Touba, ―Static compaction techniques to control scan vector power dissipation,‖ in Proc. IEEE VLSI Test Symp. (VTS), 2000, pp. 35–40.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.