Open Access Open Access  Restricted Access Subscription or Fee Access

Power Optimization for Dual-Clock FIFO with Closedown Able and Reinstate Able Clock Domains

A. Karthikeyan, R. Dhanabal, V. Srividhya

Abstract


This paper implements a scalable, and power efficient dual-clock first-input first-out (FIFO) architecture which is useful for transferring data between modules operating in different clock domains. This architecture supports correct operation in applications where multiple clock cycles of latency exist between the data producer, FIFO, and the data consumer; and with arbitrary clock frequency changes, halting, and restarting in either or both clock domains. A dual port RAM is used as the storage element which increases memory density and improves FIFO size scalability. The architecture includes configurable logic to make it suitable for many environments, and also enables complete clock halting during idle times to achieve high energy efficiency. The address pointers are transformed to gray code representation before being passed across the clock boundary and these are then converted back to binary format in the clock domain. The skew control block which includes reconfigurable delays, is inserted to balance the timing between signals. The architecture demonstrated is implemented using verilog HDL and can be implemented to cell design using TSMC 180 nanometer. The design uses a globally asynchronous and locally synchronous (GALS) array of processors. This architecture achieves 620-MHz operation and 4.17-mW power dissipation while performing simultaneous FIFO READ and WRITE operations using TSMC 180nm technology. This dual-clock FIFO architecture is well suited for many dual-clock applications and achieves high energy efficiency, good scalability and area utilization, at high clock rates.

Keywords


Dual Clock FIFO, AsAP (Asynchronous Array of Simple Processors).

Full Text:

PDF

References


R. Ho, K. W. Mai, and M. A. Horowitz, ―The future of wires,‖ Proc.IEEE, vol. 89, no. 4, pp. 490–504, Apr. 2001.

G. Semeraro and G. Magklis et al., ―Energy-efficient processor designusing multiple clock domains with dynamic voltage and frequency scaling,‖ in Proc. Int. Symp. High-Perform. Comput. Arch., 2002, pp. 29–40.

D. M. Chapiro, ―Globally-asynchronous locally-synchronous systems,‖ Ph.D. dissertation, Dept. Comput. Sci., Stanford Univ., Stanford, CA, 1984.

W. J. Dally and J. W. Poulton, Digital Systems Engineering. Cambridge, U.K.: Cambridge Univ. Press, 1998.

M. Balch, Complete Digital Design, 1st ed. NewYork: McGraw-Hill, 2003.

J. Ebergen, ―Squaring the FIFO in GasP,‖ in Proc. Int. Symp. Asynch. Circuits Syst., 2001, pp. 194–205.

C. E. Molnar, I.W. Jones, W. S. Coates, and J. K. Lexau, ―A FIFO ring performance experiment,‖ in Proc. Int. Symp. Asynch. Circuits Syst., 1997, pp. 279–289.

M. R. Greenstreet, ―Implementing a STARI chip,‖ in Proc. IEEE Int. Conf. Comput. Des., 1995, pp. 38–43.

A. Chakraborty and M. R. Greenstreet, ―Efficient self-timed interface for crossing clock domains,‖ in Proc. Int. Symp. Asynch. Circuits Syst., 2003, pp. 78–88.

J. N. Siezovic, ―Pipeline synchronization,‖ in Proc. Int. Symp. Asynch. Circuits Syst., 1994, pp. 87–96.

T. Chelcea and S. M. Nowick, ―A low-latency FIFO for mixed-clock systems,‖ in Proc. IEEE Comput. Soc. Workshop VLSI, 2000, pp. 119–126.

C. Cummings, ―Simulation and synthesis techniques for asynchronous FIFO design,‖ Synopsys Users Group, San Jose, CA, 2002.

R. W. Apperson, ―A dual-clock FIFO for the reliable transfer of highthroughput data between unrelated clock domains,‖ M.S. thesis, Dept. Electr. Comput. Eng., Univ. California, Davis, CA, 2004.

Z. Yu, M. Meeuwsen, R. Apperson, O. Sattari, M. Lai, J. Webb, E. Work, T. Mohsenin, M. Singh, and B. Baas, ―An asynchronous array of simple processors for DSP applications,‖ in Proc. IEEE Int. Solid-State Circuits Conf., 2006, pp. 428–429, 663.

I. Sutherland, ―Micropipelines,‖ Commun. ACM, vol. 32, no. 6, pp. 720–738, Jun. 1989.

E. Brunvand, ―Low latency self-timed flow-through fifos,‖ in Proc. Adv. Res. VLSI, 1995, pp. 76–90.

J. T. Yantchev, C. G. Huang, M. B. Josephs, and I. M. Nedelchev, ―Low latency asynchronous FIFO buffers,‖ in Proc. Asynch. Des. Method., 1995, pp. 24–31.

J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, A Design Perspective. Upper Saddle River, NJ: Prentice-Hall, 2003.

C. J. Myers, Asynchronous Circuit Design. New York: Wiley, 2001.

J. Jex and C. Dike, ―A fast resolving BiNMOS synchronizer for parallel processor interconnect,‖ IEEE J. Solid-State Circuits, vol. 30, no. 2, pp. 133–139, Feb. 1995.

M. Pechoucek, ―Anamolous response times of input synchronizers,‖ IEEE J. Solid-State Circuits, vol. 25, no. 2, pp. 133–139, Feb. 1976.

C. L. Seitz, ―System timing,‖ in Introduction to VLSI Systems, C. A. Mead and L. A. Conway, Eds. Reading, MA: Addison-Wesley, 1980, ch. 7.

C. L. Portmann and T. H. Y. Meng, ―Metastability in CMOS library elements in reduced supply and technology scaled applications,‖ IEEE J. Solid-State Circuits, vol. 30, no. 1, pp. 39–46, Jan. 1995.

A. Davis and S.M. Nowick. Asynchronous circuit design: Motivation,background, and methods. In G. Birtwistle and A. Davis, editors, AsynchronousDigital Circuit Design, Workshops in Computing, pages 1–49.Springer-Verlag, 1995.

A. Davis and S.M. Nowick. An introduction to asynchronous circuit design.Technical Report UUCS-97-013, Department of Computer Science,University of Utah, September 1997.

A. Davis and S.M. Nowick. An introduction to asynchronous circuit design. In A. Kent and J. G. Williams, editors, The Encyclopedia of Computer Science and Technology, volume 38. Marcel Dekker, NewYork, February 1998.

J.B. Dennis. Data Flow Computation. In Control Flow and Data Flow— Concepts of Distributed Programming, International Summer School, pages 343–398, Marktoberdorf, West Germany, July 31 – August 12, 1984. Springer, Berlin.

J.C. Ebergen and R. Berks. Response time properties of linear asynchronous pipelines. Proceedings of the IEEE, 87(2):308–318, February 1999.

K.M. Fant and S.A. Brandt. Null Conventional Logic: A complete and consistent logic for asynchronous digital circuit synthesis. In International Conference on Application-specific Systems, Architectures, and Processors, pages 261–273, 1996.

R.M. Fuhrer, S.M. Nowick, M. Theobald, N.K. Jha, B. Lin, and L. Plana. Minimalist: An environment for the synthesis, verification and testability of burst-mode asynchronous machines. Technical Report TR CUCS-020-99, Columbia University, NY, July 1999.

http://www.cs.columbia.edu/˜nowick/minimalist.pdf.

S.B. Furber and P. Day. Four-phase micropipeline latch control circuits. IEEE Transactions on VLSI Systems, 4(2):247–253, June 1996.

S.B. Furber, P. Day, J.D. Garside, N.C. Paver, S. Temple, and J.V.Woods. The design and evaluation of an asynchronous microprocessor. In Proc. Int‘l. Conf. Computer Design, pages 217–220, October 1994.

S.B. Furber, D.A. Edwards, and J.D. Garside. AMULET3: a 100 MIPS asynchronous embedded processor. In Proc. International Conf. Computer Design (ICCD), September 2000.

S.B. Furber, J.D. Garside, P. Riocreux, S. Temple, P. Day, J. Liu, and N.C.Paver. AMULET2e: An asynchronous embedded controller. Proceedings of the IEEE, 87(2):243–256, February 1999.

S.B. Furber, J.D. Garside, S. Temple, J. Liu, P. Day, and N.C. Paver. AMULET2e: An asynchronous embedded controller. In Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 290–299. IEEE Computer Society Press, 1997.

J.D. Garside. The Asynchronous Logic Homepages. http://www.cs.man.ac.uk/async/.

J.D. Garside, W.J. Bainbridge, A. Bardsley, D.A. Edwards, S.B. Furber, J. Liu, D.W. Lloyd, S. Mohammadi, J.S. Pepper, O. Petlin, S. Temple, and J.V.Woods. AMULET3i – an asynchronous system-on-chip. In Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 162–175. IEEE Computer Society Press, April 2000.

B. Gilchrist, J.H. Pomerene, and S.Y. Wong. Fast carry logic for digital computers. IRE Transactions on Electronic Computers, EC-4(4):133– 136, December 1955.

L.A. Glasser and D.W. Dobberpuhl. The Design and Analysis of VLSI Circuits. Addison-Wesley, 1985.

S. Hauck. Asynchronous design methodologies: An overview. Proceedings of the IEEE, 83(1):69–93, January 1995.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.