Open Access Open Access  Restricted Access Subscription or Fee Access

Efficient Design of SAPTL for Asynchronous Applications

S. Srikanth Reddy, Dr.K. Ashok Babu

Abstract


This paper presents the design and implementation of a low-energy asynchronous logic topology using sense amplifier- based pass transistor logic (SAPTL). The SAPTL structure can realize very low energy computation by using low-leakage pass transistor networks at low supply voltages. The introduction of asynchronous operation in SAPTL further improves energy-delay performance without a significant increase in hardware complexity. We show two different self-timed approaches: 1) the bundled data and 2) the dual-rail handshaking protocol. The proposed self-timed SAPTL architectures provide robust and efficient asynchronous computation using a glitch-free protocol to avoid possible dynamic timing hazards. Simulation and measurement results show that the self-timed SAPTL with dual-rail protocol exhibits energy-delay characteristics better than synchronous and bundled data self-timed approaches in 180-nm, 120-nm CMOS The Pass transistor logic is a simple and compact circuit topology and in some cases, out performs static CMOS circuits. The pass transistor network itself does not have and ground connections, thus drastically reducing the number of leakage paths. In pass transistor logic (PTL), leakage is confined to the driving and level restoring circuitry associated with the PT network. These circuits are used to recover the voltage swing and delay degradation inherent in PTL circuits. A conventional PT network that implements logic functions based on multiplexer or binary decision diagram (BDD) tree structures. The main drawback of these structures is that sneak paths exists allowing leakage current to flow. PT networks can be made more complex, thus reducing the total number of drivers and level restorers in order reduce the number of leakage paths, but unfortunately, the number of sneak paths in the PT tree increases exponentially with the number of logic inputs. Note that the delay is also dependent on the number of levels and is proportional to . PT also increases the effective channel length between the supply The dual-rail hand shaking protocol. The proposed self-timed SAPTL architectures provide robust and efficient asynchronous computation using a glitch-free protocol to avoid possible dynamic timing hazards. Simulation and measurement results show that the self-timed SAPTL with dual-rail protocol exhibits energy-delay characteristics better than synchronous and bundled data self-timed approaches.

Keywords


Pass Transistor, Self-Timing, Sense Amplifier-Based Pass Transistor Logic (SAPTL).

Full Text:

PDF

References


T. Sakurai, “Perspectives on power-awar electronics,” in ISSCC Dig. Te ch. Papers, 2003,vol.1, pp. 26–29.

L. Alarcón, T.-T. Liu, M. Pierson, and J. Rabaey, “Exploring very lowenergy logic: A case study,” J. Low Power Electron., vol. 3, no. 3, pp. 223–233, Dec. 2007.

J. Sparsø and S. Furber, Principles of Asynchronous Circuit Design.Norwell, MA: Kluwer, 2001.

J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits:A Design Perspective, 2nd ed . Englewood Cliffs, NJ: Prentice-Hall, 2003.

H. Li, S. Bhunia, Y. Chen, K. Roy, and T. Vijaykumar, “DCG: deterministic clock-gating for low-power microprocessor design,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 3, pp. 245–254,Mar. 2004.

N. Banerjee, K. Roy, H. Mahmoodi, and S. Bhunia, “Low power synthesis of dynamic logic circuits using fine-grained clock gating,” in Proc. DATE, Mar.2006, vol. 1, pp. 1–6.

T.-T. Liu, L. Alarcón, M. Pierson, and J. Rabaey, “Asynchronous computing in sense amplifier-based pass transistor logic,” in Proc. 14th IEEE Int. Symp. ASYNC, Apr. 2008, pp. 105–115.

T. Williams, “Performance of iterative computation in self-timed rings,” J. VLSI Signal Process., vol. 7,no. 1/2, pp. 17–31, Feb. 1994.

K. Stevens, R. Ginosar, and S. Rotem, “Relative timing,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 11, no. 1, pp. 129–140, Feb. 2003.

I. Sutherland, “Micropipelines,” Commun. ACM, vol. 32, no. 6, pp.720–738, Jun.1989.

S. Narendra, “Scaling of stack effect and its application for leakage reduction,” in Proc. ISLPED, Aug. 2001, pp. 195–200.

K. Yano, Y. Sasaki, K. Rikino, and K. Seki, Top- down pass-transistor logic design. IEEE Journal of Solid-State Circuits 31, 792 (1996).

R. Shelar and S. Sapatnekar, BDD decomposition for delay oriented pass transistor logic synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13, 957 (2005).

P. Buch, A. Narayan, A. Newton, and A. Sangiovanni-Vincentelli, Logic synthesis for large pass transistor circuits. IEEE/ACM International Conference on Computer-Aided Design, November (1997), pp. 663–670.

W. C. Elmore, The transient analysis of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19, 55 (1948).

V. Kheterpal, V. Rovner, T. Hersan, D. Motiani, Y. Takegawa, A. Strojwas, and L. Pileggi, Design methodology for IC manufacturability based on regular logic-bricks. Proceedings of the 42nd Design Automation Conference, June (2005), pp. 353–358.


Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution 3.0 License.